Welcome![Sign In][Sign Up]
Location:
Search - nco vhdl

Search list

[Other resourcenco

Description: 用VHDL语言写好得,直接可用NCO设计
Platform: | Size: 34317 | Author: long | Hits:

[Communication-MobileNCO

Description: 一個有關於數值控制震盪器(NCO)的檔案,用Matlab的Simulink開啟即可.-a shaker on the numerical control (NCO) files, Matlab Simulink can be opened.
Platform: | Size: 10240 | Author: 林家民 | Hits:

[VHDL-FPGA-Verilogmdct.tar

Description: 这是06年4月刚刚完成的程序,从opencore.org下载而来。用vhdl语言描写,以及matlab仿真,testbench,以及在xinlinx上的综合。 The MDCT core is two dimensional discrete cosine transform implementation designed for use in compression systems like JPEG. Architecture is based on parallel distributed arithmetic with butterfly computation. -This is April 06 had just completed the process, from opencore.org downloaded from. Vhdl description language used, and Matlab simulation, testbench, and the Comprehensive xinlinx. The MDCT core is two dimensional discrete cosin e transform implementation designed for use in JPEG compression systems like. Architecture i 's based on parallel distributed arithmetic wit h butterfly computation.
Platform: | Size: 1767424 | Author: 陈朋 | Hits:

[VHDL-FPGA-VerilogNCO_ip

Description: NCO的VHDL程序,是利用IP核生成的,超好的,快下吧-NCO of the VHDL process is the use of nuclear-generated IP, super good, fast, are you
Platform: | Size: 128000 | Author: 张俊 | Hits:

[source in ebooknco

Description: 用VHDL语言写好得,直接可用NCO设计-VHDL language was used to write directly available NCO design
Platform: | Size: 34816 | Author: long | Hits:

[VHDL-FPGA-VerilogNCO_sin

Description: 基于FPGA的NCO设计,采用查表方法.八位地址线,一个周期采点256个,输出八位数据.-NCO of the FPGA-based design, using look-up table method. 8 address lines, a cycle of mining point 256, the output data 8.
Platform: | Size: 4096 | Author: wei | Hits:

[VHDL-FPGA-VerilogNCO

Description: 基于FPGA和SRAM的数控振荡器的设计与实现-SRAM-based FPGA and NCO of the design and implementation
Platform: | Size: 45056 | Author: gsg | Hits:

[Other11

Description: NCO 在信号处理方面有着广泛的应用。而函数发生器是NCO 中的关键部分,本文基 于FPGA 用状态机和流水线方法实现了CORDIC 算法,并取代了传统的ROM 查找表法。 最后通过Quartus II 软件给出仿真结果,验证了理论的正确性。-NCO in the Signal Processing has a wide range of applications. The function generator is a critical part of NCO, the paper-based FPGA using state machine implementation of the Ways and pipelining CORDIC algorithm, and replaces the traditional ROM look-up table method. Finally through the Quartus II software give simulation results to verify the correctness of the theory.
Platform: | Size: 164864 | Author: LEO | Hits:

[VHDL-FPGA-VerilogRomNCO

Description: 基于NCO的数字控制振荡器。带测试程序,输出12位的COS和SIN波形。-Based on the digital control oscillator NCO. With test procedures, the output 12 of the COS and the SIN waveform.
Platform: | Size: 29696 | Author: 咚咚 | Hits:

[VHDL-FPGA-VerilogCORDIC

Description: 数字控制振荡器(NCO,numerical controlled oscillator)是软件无线电、直接数据频 率合成器(DDS,Direct digital synthesizer)、快速傅立叶变换(FFT,Fast Fourier Transform) 等的重要组成部分,同时也是决定其性能的主要因素之一,随着芯片集成度的提高、在信号 处理、数字通信领域、调制解调、变频调速、制导控制、电力电子等方面得到越来越广泛的 应用。-Digital controlled oscillator (NCO, numerical controlled oscillator) is a software-defined radio, direct data on the frequency synthesizer (DDS, Direct digital synthesizer), Fast Fourier Transform (FFT, Fast Fourier Transform), such as an important component of the decision at the same time the performance of one of the main factors, along with the improvement of the chip integrated in the signal processing, digital communications, modulation and demodulation, frequency conversion speed control, guidance control, such as power electronics get more and more widely.
Platform: | Size: 4096 | Author: 司令 | Hits:

[VHDL-FPGA-VerilogNCO_based_rom

Description: 完整的基于ROM查找表的NCO 产生10位宽的正交信号-Integrity of the ROM-based lookup table of the NCO have 10-bit wide of the orthogonal signal
Platform: | Size: 86016 | Author: gsg | Hits:

[VHDL-FPGA-Veriloggencontrol

Description: 高速任意波形产生器控制模块 控制NCO,FIFO,并串转换-hign-speed wfgenerator control
Platform: | Size: 1024 | Author: ted yang | Hits:

[VHDL-FPGA-Verilognco

Description: 基于DSP builder搭建的DDS模块,可以用在数字下变频中的NCO等-Based on DSP builder to build the DDS module can be used in digital down-conversion of the NCO, etc.
Platform: | Size: 7168 | Author: 郑程 | Hits:

[OtherNCO

Description: Numerically Controlled oscillator with with quadrature output and pipeline-Numerically Controlled oscillator with with quadrature output and pipeline
Platform: | Size: 2048 | Author: sanjivkumar | Hits:

[Software Engineeringcordic

Description: 用于无线通信中的数字下变频,主要关注NCO设计还有使用cordic算法实现坐标变换和解调!-For wireless communication of digital down conversion, the main concern there NCO design algorithm using cordic coordinate transformation and demodulation!
Platform: | Size: 2469888 | Author: peter | Hits:

[Software Engineeringddc

Description: 电子科大2009-数字中频技术的研究与FPGA实现,主要是DDC的FPGA实现,NCO部分的FPGA实现!-UESTC 2009- Digital IF Research and FPGA, the FPGA implementation is mainly DDC, NCO segment FPGA to achieve!
Platform: | Size: 5854208 | Author: peter | Hits:

[assembly languageNCO

Description: 用VHDL语言编写的振荡器,可以产生正余弦信号-VHDL language with the oscillator, can generate sine and cosine signals
Platform: | Size: 1024 | Author: 龙兰飞 | Hits:

[VHDL-FPGA-VerilogNCO

Description: 关于FPGA设计实现NCO,包括查找表法和CORDIC算法的改进-FPGA design and implementation on the NCO, including the look-up table method and the CORDIC Algorithm
Platform: | Size: 1444864 | Author: 张子龙 | Hits:

[VHDL-FPGA-Verilognco

Description: 数字接收机DDS中NCO设计,vhdl代码参考-NCO of DDS in a digital receiver design,vhdl code reference
Platform: | Size: 1024 | Author: rickdecent | Hits:

[VHDL-FPGA-VerilogNCO

Description: 用verilog语言写的NCO,在quartus环境中应用-Verilog language written with NCO, quartus environment in the applications
Platform: | Size: 3072 | Author: 刘春 | Hits:
« 12 »

CodeBus www.codebus.net